producerMod Module


Uses


Subroutines

private subroutine finalCalc(state, rc)

Arguments

Type IntentOptional Attributes Name
type(ESMF_State) :: state
integer, intent(out) :: rc

private subroutine finalCalc2(state, rc)

Arguments

Type IntentOptional Attributes Name
type(ESMF_State) :: state
integer, intent(out) :: rc

private subroutine init(gcomp, importState, exportState, clock, rc)

Arguments

Type IntentOptional Attributes Name
type(ESMF_GridComp) :: gcomp
type(ESMF_State) :: importState
type(ESMF_State) :: exportState
type(ESMF_Clock) :: clock
integer, intent(out) :: rc

public subroutine producerReg(gcomp, rc)

Arguments

Type IntentOptional Attributes Name
type(ESMF_GridComp) :: gcomp
integer, intent(out) :: rc

private subroutine run(gcomp, importState, exportState, clock, rc)

Arguments

Type IntentOptional Attributes Name
type(ESMF_GridComp) :: gcomp
type(ESMF_State) :: importState
type(ESMF_State) :: exportState
type(ESMF_Clock) :: clock
integer, intent(out) :: rc